Electrical & Electronic Engineering: Conference papers Collection home page

Browse
Subscribe to this collection to receive daily e-mail notification of new additions RSS Feed RSS Feed RSS Feed
Collection's Items (Sorted by Submit Date in Descending order): 41 to 60 of 3212
TitleAuthor(s)Issue Date
Phase consistent ecological domain adaptation
Proceeding/Conference:Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
2020
Dense depth posterior (DDP) from single image and sparse range
Proceeding/Conference:Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
2019
Unsupervised moving object detection via contextual information separation
Proceeding/Conference:Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
2019
Conditional prior networks for optical flow
Proceeding/Conference:Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)
2018
S2F: Slow-to-fast interpolator flow
Proceeding/Conference:Proceedings - 30th IEEE Conference on Computer Vision and Pattern Recognition, CVPR 2017
2017
Submicron processing using laser-induced photonic nanojet
Proceeding/Conference:2017 IEEE 17th International Conference on Nanotechnology, NANO 2017
2017
Fabrication of a probe-lens device for scanning super-resolution imaging platform
Proceeding/Conference:2017 IEEE 12th International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2017
2017
Graphene-Based Glucose Sensors: A Brief Review
Proceeding/Conference:IEEE Transactions on Nanobioscience
2015
Coarse-to-fine region selection and matching
Proceeding/Conference:Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
2015
 
2014
Modeling self-occlusions in dynamic shape and appearance tracking
Proceeding/Conference:Proceedings of the IEEE International Conference on Computer Vision
2013
 
DPACS: Hardware Accelerated Dynamic Neural Network Pruning through Algorithm-Architecture Co-design
Proceeding/Conference:ASPLOS 2023: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems
2023
 
Gamification of Flipped Classroom: FIBER Vs. G-FIBER
Proceeding/Conference:2021 International Symposium on Educational Technology (ISET)
2021
 
HINT: Hierarchical Neuron Concept Explainer
Proceeding/Conference:2022 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR)
2022
2021
Semiconductor laser integration for octave-span Kerr-soliton frequency combs
Proceeding/Conference:Optics InfoBase Conference Papers
2020
 
Deep Learning Driven EMI Prediction and Elimination for RF Shielding-Free MRI at 0.055T and 1.5T
Proceeding/Conference:2022 Proceedings of Joint Annual Meeting ISMRM-ESMRMB & ISMRT 31st Annual Meeting, Virtual Conference
2022
 
Coil Sensitivity Specific Optimization of Wave Encoding Gradient Trajectory for High Acceleration and Low Slew Rate
Proceeding/Conference:2022 Proceedings of Joint Annual Meeting ISMRM-ESMRMB & ISMRT 31st Annual Meeting, Virtual Conference
2022
Simulation study on a novel vector control strategy for asynchronous motor
Proceeding/Conference:2016 IEEE Transportation Electrification Conference and Expo, Asia-Pacific, ITEC Asia-Pacific 2016
2016
 
A magnetic-differential double-rotor flux-reversal permanent-magnet motor for electric vehicles
Proceeding/Conference:International Conference on Electric Machines and Systems (ICEMS)
2021
Collection's Items (Sorted by Submit Date in Descending order): 41 to 60 of 3212