File Download

There are no files associated with this item.

  Links for fulltext
     (May Require Subscription)
Supplementary

Conference Paper: CATALYST: Planning layer directives for effective design closure

TitleCATALYST: Planning layer directives for effective design closure
Authors
Issue Date2013
Citation
Proceedings -Design, Automation and Test in Europe, DATE, 2013, p. 1873-1878 How to Cite?
AbstractFor the last several technology generations, VLSI designs in new technology nodes have had to confront the challenges associated with reduced scaling in wire delays. The solution from industrial back-end-of-line process has been to add more and more thick metal layers to the wiring stacks. However, existing physical synthesis tools are usually not effective in handling these new thick layers for design closure. To fully leverage these degrees of freedom, it is essential for the design flow to provide better communication among the timer, the router, and different optimization engines. This work proposes a new algorithm, CATALYST, to perform congestion- and timing-aware layer directive assignment. Our flow balances routing resources among metal stacks so that designs benefit from the availability of thick metal layers by achieving improved timing and buffer usage reduction while maintaining routability. Experiments demonstrate the effectiveness of the proposed algorithm. © 2013 EDAA.
Persistent Identifierhttp://hdl.handle.net/10722/336117
ISSN

 

DC FieldValueLanguage
dc.contributor.authorWei, Yaoguang-
dc.contributor.authorLi, Zhuo-
dc.contributor.authorSze, Cliff-
dc.contributor.authorHu, Shiyan-
dc.contributor.authorAlpert, Charles J.-
dc.contributor.authorSapatnekar, Sachin S.-
dc.date.accessioned2024-01-15T08:23:37Z-
dc.date.available2024-01-15T08:23:37Z-
dc.date.issued2013-
dc.identifier.citationProceedings -Design, Automation and Test in Europe, DATE, 2013, p. 1873-1878-
dc.identifier.issn1530-1591-
dc.identifier.urihttp://hdl.handle.net/10722/336117-
dc.description.abstractFor the last several technology generations, VLSI designs in new technology nodes have had to confront the challenges associated with reduced scaling in wire delays. The solution from industrial back-end-of-line process has been to add more and more thick metal layers to the wiring stacks. However, existing physical synthesis tools are usually not effective in handling these new thick layers for design closure. To fully leverage these degrees of freedom, it is essential for the design flow to provide better communication among the timer, the router, and different optimization engines. This work proposes a new algorithm, CATALYST, to perform congestion- and timing-aware layer directive assignment. Our flow balances routing resources among metal stacks so that designs benefit from the availability of thick metal layers by achieving improved timing and buffer usage reduction while maintaining routability. Experiments demonstrate the effectiveness of the proposed algorithm. © 2013 EDAA.-
dc.languageeng-
dc.relation.ispartofProceedings -Design, Automation and Test in Europe, DATE-
dc.titleCATALYST: Planning layer directives for effective design closure-
dc.typeConference_Paper-
dc.description.naturelink_to_subscribed_fulltext-
dc.identifier.doi10.7873/date.2013.373-
dc.identifier.scopuseid_2-s2.0-84879872741-
dc.identifier.spage1873-
dc.identifier.epage1878-

Export via OAI-PMH Interface in XML Formats


OR


Export to Other Non-XML Formats